CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - spi slave verilog

搜索资源列表

  1. spi slave

    0下载:
  2. SPI 接口的VHDL和Verilog实现。slave模式
  3. 所属分类:VHDL编程

    • 发布日期:2012-02-11
    • 文件大小:4132
    • 提供者:szsz06@126.com
  1. mcu-cpld-spi.mcu与cpld之间spi接口程序

    0下载:
  2. mcu与cpld之间spi接口程序,mcu为master,cpld用verilog写成slave模块,mcu with spi interface program between the CPLD, mcu for the master, cpld written using Verilog slave module
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2017-03-22
    • 文件大小:111249
    • 提供者:叶灿
  1. SPI_Slave

    0下载:
  2. SPI Slave example (VERILOG HDL)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:1125
    • 提供者:igor
  1. verilog

    0下载:
  2. 介绍了一种SPI从机的接口verilog编码-verilog code for spi slave
  3. 所属分类:Com Port

    • 发布日期:2017-04-02
    • 文件大小:4010
    • 提供者:董广军
  1. spi.tar

    0下载:
  2. This is a verilog code used oversampled clock to implement SPI slave. Also include C code for a ARM processor as the SPI master-This is a verilog code used oversampled clock to implement SPI slave
  3. 所属分类:Other systems

    • 发布日期:2017-03-27
    • 文件大小:1331
    • 提供者:johnl
  1. tongxinyuanli

    0下载:
  2. 数字通信原理 曹志刚版的SPI总线硬件描述语言Verilog下的实现,含主模式和从模式的实现,经过仿真验证,可作为一个单独的模块使用--Digital Communication Principles of CAO Zhi-gang version of the SPI bus, under the Verilog hardware descr iption language implementation, including Master mode and slave mode of impl
  3. 所属分类:Internet-Socket-Network

    • 发布日期:2017-05-25
    • 文件大小:8549892
    • 提供者:liusen
  1. VHD_Veri_spi

    1下载:
  2. 一个强大的符合SPI规范的VHDL/Verilog源码文件,传输模式和时钟相位均可以指定,采用同步时钟设计,可以工作在很高的频率下。支持主机及从机模式,强烈推荐使用!-A strong line with SPI standard VHDL/Verilog source files, transfer mode, and clock phase are to specify, using synchronous clock design can work in very high frequen
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:13158
    • 提供者:中国
  1. spi

    0下载:
  2. this the SPI slave module -this is the SPI slave module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2781959
    • 提供者:David
  1. verilog-SPI-Controler

    0下载:
  2. 使用Verilog语言实现的SPI控制器,包括SPI主机和从机代码。-Using the Verilog language implementation of SPI controllers, including SPI master and slave codes.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:6831
    • 提供者:张秋光
  1. spislave_latest.tar

    0下载:
  2. SPI接口的verilog代码,本代码是从机代码。-SPI interface verilog code, the code is slave machine code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:34908
    • 提供者:王远
  1. spi

    0下载:
  2. spi slave verilog代码 spi slave verilog代码 spi slave verilog代码-spi slave verilog code spi slave verilog code spi slave verilog code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-01
    • 文件大小:781
    • 提供者:何莉
  1. SPI-Verilog-123

    0下载:
  2. spi slave code s pi slave code spi slave code -spi slave code spi slave code spi slave code spi slave code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-10-17
    • 文件大小:16384
    • 提供者:何莉
  1. spi

    0下载:
  2. SPI 从机verilog设计,验证通过!-SPI interface slave verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:820
    • 提供者:王一
  1. Nitro-Parts-lib-SPI-master

    0下载:
  2. Nitro-Parts-lib-SPI Verilog SPI master and slave
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-10-17
    • 文件大小:5120
    • 提供者:d.pershin
  1. SPI

    0下载:
  2. SPI(Serial Peripheral Interface,串行外设接口)是Motorola公司提出的一种同步串行数据传输标准,是一种高速的,全双工,同步的通信总线,在很多器件中被广泛应用。 SPI相关缩写 SS: Slave Select,选中从设备,片选。 CKPOL (Clock Polarity) = CPOL = POL = Polarity = (时钟)极性 CKPHA (Clock Phase) = CPHA = PHA = Phase = (时钟)相位
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-12-21
    • 文件大小:6144
    • 提供者:helimpopo
  1. Master SPI的Verilog源代码(包括文档 测试程序)

    0下载:
  2. SPI接口的从机实现(利用verilog HDL语言)(Slave implementation of SPI interface (using Verilog HDL language))
  3. 所属分类:串口编程

  1. spi master slave

    0下载:
  2. SPI master slave (fpga/verilog)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-08
    • 文件大小:67584
    • 提供者:taso999
  1. spi_verilog_master_slave_latest.tar

    0下载:
  2. spi 的verilog rtl 代码, 包括整体仿真环境,测试码等(spi master or slave verilog rtl code)
  3. 所属分类:其他

    • 发布日期:2018-04-18
    • 文件大小:3072
    • 提供者:jekky888888
  1. spi_8r8w

    0下载:
  2. 同时实现多个SPI从设备的连续读写,读写字节数可变化(implement multiply spi slave read/write operation, and the operation's bytes can be changed)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-18
    • 文件大小:2048
    • 提供者:zhou8848
  1. spi slave程序

    1下载:
  2. spi slave的verilog程序,有测试平台testbench程序,实现fpga作为salve的功能(spi slave verilog program)
  3. 所属分类:嵌入式/单片机/硬件编程

    • 发布日期:2019-06-11
    • 文件大小:5120
    • 提供者:CARL_2018
« 12 »
搜珍网 www.dssz.com